User forums > Using Code::Blocks

An unhandled exception ...

<< < (2/5) > >>

oBFusCATed:
I can't reproduce this on linux. You'll have to build a version with symbols and attach a debugger.
Do I understand correctly that the issue happens on vista, but it doesn't happen on win7?

stahta01:

--- Quote ---1- active project: any

--- End quote ---

Does the problem happen if there is no open project?
Because all my tests were with no open projects.

Tim S.

LETARTARE:
@stahta01

--- Quote ---Win32, cb12180, gcc-810-dwarf, wx-313
--- End quote ---
I've just done the test without a project: the result is identical

@obfuscated
If I understand your instructions correctly, I have to run a version of 'cb-12180' in debug mode from another version of 'cb' to capture the backup of the file.

I did another test with a version

--- Quote ---cb-12171, gcc-810-sljl, wx-313-sljl, Vista-32
--- End quote ---
and with 'WhatIsHang' from 'http://www.nirsoft.net'.
I managed to capture some information (bigfilepo.txt)
I also captured the state of Vista's memory  (processors.png)
- the linear increase of the memory corresponds to the saving of the file until the exception message is displayed.
- the memory drop corresponds to the destruction of 'CB'.


LETARTARE:
I just ran another test on Vista-32 :
I use 'cb-12171, gcc-810-sljl, wx-313-sljl',
wherein I load 'cb-12180, gcc-810-dwarf, wx-313-dwarf'.

I'm running 'cb-12180' in which
1- I load the file 'All_codeblocks.po'.
2- I modify this file
3- I save it
=> the backup is done properly !!

LETARTARE:
I think I've found the culprit !!

--- Code: ---'cbEditor::Save()'
--- End code ---
call

--- Code: ---'m_pData->EnsureConsistentLineEnds();'
--- End code ---
who call

--- Code: ---'control->ConvertEOLs(control->GetEOLMode());'
--- End code ---
which is creating the problem!
A remark : 'control->GetEOLMode()' return 0 (CRLF) while the file contains only 'LF' !

If I comment on this call the problem disappears!
So it's in ...

--- Code: ---void wxScintilla::ConvertEOLs(int eolMode)
{
    SendMsg(SCI_CONVERTEOLS, eolMode, 0);
}
--- End code ---

Then I'm no longer competent.

Navigation

[0] Message Index

[#] Next page

[*] Previous page

Go to full version